SMM Reading Resources

Application partitioning on LLM

  • [Kudlur 2008] Orchestrating the execution of stream programs on multicore platforms
  • [Gallet 2010] Scheduling complex streaming applications on the cell processor
  • [Che 2011] Compilation of stream programs onto scratchpad memory based embedded multicore processors through retiming

Code Management for Scratch Pads

  • [Steinke 2002] Reducing energy consumption by dynamic copying of instructions onto on-chip memory
  • [Steinke 2002] Assigning program and data objects to scratchpad for energy reduction
  • [Angiolini 2004] A post-compiler approach to scratchpad mapping of code
  • [Nguyen 2005] Memory allocation for embedded systems with a compile-time-unknown scratch-pad size
  • [Egger 2006] A dynamic code placement technique for scratchpad memory using postpass optimization
  • [Egger 2006] Scratchpad memory management for portable systems with a memory management unit
  • [Verma 2006] Overlay techniques for scratchpad memories in low power embedded processors
  • [Janapsatya 2006] A novel instruction scratchpad memory optimization method based on concomitance metric
  • [Egger 2010] Scratchpad Memory Management Techniques for Code in Embedded Systems without an MMU
  • [Baker 2010] A Performance Model and Code Overlay Generator for Scratchpad Enhanced Embedded Processors
  • [Jang 2012] Automatic Code Overlay Generation and Partially Redundant Code Fetch Elimination

Global Data Management for Scratch Pads

  • [Kandemir 2001] Dynamic management of scratch-pad memory space
  • [Avissar 2002] An optimal memory allocation scheme for scratch-pad-based embedded systems
  • [Kandemir 2002] Exploiting shared scratch pad memory space in embedded multiprocessor systems
  • [Verma 2005] Scratchpad sharing strategies for multiprocess embedded systems: A first approach
  • [Li2005] Memory coloring: a compiler approach for scratchpad memory management

Stack Data Management for Scratch Pads

  • [Poletti 2004] An integrated hardware/software approach for run-time scratchpad management
  • [Nguyen 2005] Memory allocation for embedded systems with a compile-time-unknown scratch-pad size
  • [Udayakumaran 2006] Dynamic allocation for scratch-pad memory using compile-time decisions

Heap data Management for Scratch Pads

  • [Dominguez 2005] Heap data allocation to scratch-pad memory in embedded systems
  • [Mcllroy 2008] Efficient dynamic heap allocation of scratch-pad memory